Architectural energy-delay assessment of ABACUS multiplier with respect to other multipliers

Download
2013-7
Gürdür, Didem
This study presents a logic implementation for the recently proposed ABACUS integer multiplier architecture and compares it with other fundamental multipliers. The ABACUS m x n implementation was modeled, simulated, and evaluated using the PETAM (Power Estimation Tool for Array Multipliers) tool developed during this study, against Carry Save Array Multiplier (CSAM), Ripple Carry Array Multiplier (RCAM) and Wallace Tree Multiplier (WTM) for energy-delay performance. The resulting implementation models did not provide as much value in energy-delay as the originally reported crude architectural analysis predicted, especially when the multiplier size is smaller than 32x32. This is due to the fact that threshold detection required by ABACUS “column compression” is not trivial to implement at low cost using standard logic approaches. On the other hand, the proposed logic implementation of ABACUS in this thesis is scalable to any m x n integer multiplier, and demonstrates close to 2x energy-delay product improvement potential compared to scalable RCAM and CSAM logic implementations for 64x64 bits multiplication, and more for larger multipliers.

Suggestions

Improved Polynomial Multiplication Algorithms over Characteristic Three Fields and Applications to NTRU Prime
Yeniaras, Esra; Cenk, Murat (2022-01-01)
This paper introduces a new polynomial multiplication algorithm which decreases the arithmetic complexity and another modified algorithm that speeds up the implementation run-time over the characteristic three fields. We first introduce a new polynomial multiplication algorithm using a 4-way split approach and observe that its asymptotic arithmetic complexity is better than Bernstein’s 3-way method for characteristic three fields. We then define an unbalanced split version a 5-way split method which is fast...
Power-Delay Analysis of an ABACUS Parallel Integer Multiplier VLSI Implementation
Ercan, Furkan; Muhtaroglu, Ali (2015-03-26)
ABACUS parallel architecture was previously proposed as an alternate integer multiplication approach with column compression and parallel carry futures. This paper presents a VLSI implementation for ABACUS and benchmarks it against the conventional Wallace Tree Multiplier (WTM). Simulations are conducted with UMC180nm technology in Cadence environment. Although WTM implementation results in 26.6% fewer devices, ABACUS implementation has 8.6% less power dissipation with matched delay performance, due to 27.8...
Waterfall region analysis for iterative decoding
Yılmaz, Ali Özgür (2004-12-01)
Finite length analysis of iterative decoders can be done by using probabilistic models based on EXIT charts. The validity of these models will be investigated by checking the performance of iterative decoding under various scenarios.
Temporal logic inference for classification and prediction from data
Kong, Zhaodan; Jones, Austin; Medina, Ayala Ana; Aydın Göl, Ebru; Belta, Calin (2014-04-15)
This paper presents an inference algorithm that can discover temporal logic properties of a system from data. Our algorithm operates on finite time system trajectories that are labeled according to whether or not they demonstrate some desirable system properties (e.g. "the car successfully stops before hitting an obstruction"). A temporal logic formula that can discriminate between the desirable behaviors and the undesirable ones is constructed. The formulae also indicate possible causes for each set of beh...
Temporal logic model predictive control for discrete time systems
Aydın Göl, Ebru (2013-04-08)
This paper proposes an optimal control strategy for a discrete-time linear system constrained to satisfy a temporal logic specification over a set of linear predicates in its state variables. The cost is a quadratic function that penalizes the distance from desired state and control trajectories. The specification is a formula of syntactically co-safe Linear Temporal Logic (scLTL), which can be satisfied in finite time. It is assumed that the reference trajectories are only available over a finite horizon a...
Citation Formats
D. Gürdür, “Architectural energy-delay assessment of ABACUS multiplier with respect to other multipliers,” M.S. - Master of Science, Middle East Technical University, 2013.